2-Verilog语言基础3.ppt

  1. 1、本文档共62页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
2-Verilog语言基础3

January 2006 Verilog Digital System Design Copyright Z. Navabi, 2006 1 Verilog 语法的基本概念 电子信息学院 姜小波 2005 Verilog HDL 2 System Tasks (cont’d) reg [4:0] port_id; $display(“ID of the port is %b”, port_id); Output: ID of the port is 00101 reg [3:0] bus; $display(“Bus value is %b”, bus); Output: Bus value is 10xx $display(“Hierarchical name of this module is %m”); Output: Hierarchical name of this module is top.p1 $display(“A \n multiline string with a %% sign.”); Output: A multiline string with a % sign. 2005 Verilog HDL 3 System Tasks (cont’d) $monitor: monitors a signal when its value changes Syntax: $monitor(p1, p2, p3, …, pn); p1,…, pn can be quoted string, variable, or signal names Format specifiers just as $display Continuously monitors the values of the specified variables or signals, and displays the entire list whenever any of them changes. $monitor needs to be invoked only once (unlike $display) Only one $monitor (the latest one) can be active at any time $monitoroff to temporarily turn off monitoring $monitoron to turn monitoring on again 2005 Verilog HDL 4 System Tasks (cont’d) $monitor Examples: initial begin $monitor($time, “Value of signals clock=%b, reset=%b”, clock, reset); end Output: 0 value of signals clock=0, reset=1 5 value of signals clock=1, reset=1 10 value of signals clock=0, reset=0 2005 Verilog HDL 5 System Tasks (cont’d) $stop: stops simulation Simulation enters interactive mode when reaching a $stop system task Most useful for debugging $finish: terminates simulation Examples: initial begin clock=0; reset=1; #100 $stop; #900 $finish; end 2005 Verilog HDL 6 Compiler Directives General syntax: `keyword `define: similar to #define in C, used to define macros `macro_name to use the macro defined by `define Examples: `define WORD_SIZE 32 `define S $stop `define WORD_REG reg [31:0] `WORD_REG a_32_bit_reg; 2005 Verilog HDL 7 Compiler Directives (cont’d) `include: Similar to #include in C, includes entire

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档