- 1、本文档共14页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于VHDL的多功能数字钟设计报告1
基于VHDL的多功能数字钟
设计报告
一、设计要求
1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。
2、设计精度要求为1秒1、系统输入:时钟信号clk采用Hz;系统状态及较时、定时转换的控制信号为k、set复位信号为reset均由按键信号产生。
2系统输出:LED显示输出;蜂鸣器声音信号输出。
3多功能数字电子钟系统功能的具体描述如下:
(一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。
(二)校时:在计时显示状态下,进入小时校准状态,之后按k”键则进入分校准状态继续按下k”键则进入秒复零状态次按k”键恢复到正常计时显示状态。(1)小时校准状态在小时校准状态下,显示小时的数码管闪烁,并以Hz的频率递增计数。
(2)分校准状态:在分校准状态下,显示分的数码管闪烁,并以Hz的频率递增计数。
(3)秒校准状态:在秒复零状态下,显示秒的数码管闪烁,并以Hz的频率递增计数。()小时校准状态在小时校准状态下,显示小时的数码管闪烁,并以Hz的频率递增计数。()校准状态在校准状态下,显示的数码管闪烁,并以Hz的频率递增计数。(三)整点报时:蜂鸣器在59”分钟的第51”、53”、55”、57”秒发频率为5Hz的低音,在59”分钟的第59”秒发频率为1Hz的高音,结束时为整点。
(四)显示:采用扫描显示方式驱动个LED数码管显示小时、分秒
(五)闹钟:闹钟定时时间到,蜂鸣器发出为1,持续时间为60秒(1)模块说明:输入一个频率为Hz的利用计数器分出
1Hz的的的
2、控制器模块(contral.vhd)
(1)模块说明:输入端口kset键来控制个状态,这个状态分别是显示计时时间状态,调计时的时、分、秒状态,调闹铃的时、分的状态,reset键是复位键,用来回到显示计时时间的状态。
二选一模块(mux21a.vhd)
(1)源程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mux21a is
port(a,b,s:in bit;
y:out bit);
end entity mux21a;
architecture one of mux21a is
begin
process(a,b,s)
begin
if s=0 then
y=a; --若s=0,y输出a,反之输出b。
else y=b;
end if;
end process;
end architecture one;
(2)仿真波形图:
模块图:
计时模块
秒计时(second.vhd)
(1)仿真波形图:
(2)模块图:
b.分计时(minute.vhd)
(1)仿真波形图:
(2)模块图:
c.小时计时(hour.vhd)
(1)仿真波形图:
(2)模块图:
d.闹钟分计时(cntm60b.vhd)
(1)仿真波形图:
(2)模块图:
e.闹钟小时计时(cnth24b.vhd)
(1)仿真波形图:
(2)模块图:
5、闹钟比较模块(compare.vhd)
(1)模块说明:比较正常计数时间与闹钟定时时间是否相等,若相等,compout输出“1”,反之输出“0”。
(2)仿真波形图:
(3)模块图:
6、报时模块(bell.vhd)
()模块说明:该模块既实现了整点报时的功能,又实现了闹铃的功能,蜂鸣器通过所选频率的不同,而发出不同的声音。
7、控制显示模块(show_con.vhd)
(1模块说明:该模块实现了数码管既可以显示正常时间,又可以显示闹钟时间的功能;调时定时闪烁功能也在此模块中真正实现。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity show_con is
port(th1,tm1,ts1:in std_logic_vector(7 downto 4);
th0,tm0,ts0:in std_logic_vector(3 downto 0);
bh1,bm1:in std_logic_vector(7 downto 4);
bh0,bm0:in std_logic_vector(3 downto 0);
sec1,min1,h1: out std_logic_vector(7 downto 4);
sec0,min0,h0: out std_logic_vector(3 downto 0);
q2H
文档评论(0)