- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
南京工业职业技术学院技术报告
PAGE 4
基于EPM7128SLC84-15N的
60秒计数器
基于CPLD芯片60秒计数器设计
TOC \o 1-3 \h \u
1 -
1. 绪论
1.1 课题背景
CPLD芯片因其体积小,功能强,价格低廉而得到广泛应用,同时随着我国经济的飞速发展,CPLD芯片在越来越多的领域得到了广泛的应用,现在国内的CPLD芯片多用于电话,玩具和LCD等产品,预计在未来,利用CPLD芯片发明的产品会越来越多,作为一名应用电子的应届毕业生来说,理解和掌握CPLD芯片的工作原理和使用技巧是必备的技能,基于这种考虑,我这次毕业设计的题目为简易计时器。
我所设计的该产品时根据自身的兴趣和爱好所设计的,通过对传统计时器的认识和了解,知道了传统的计时器是利用CPLD芯片的VHDL语言的编程来实现的,而且功能单一,只能单一计时而不能随意的播放音乐,我所设计的产品是基于对CPLD芯片的深入理解对传统计时器的小小的改革和创新,并且以简单的EDA语言程序替代了复杂的VHDL语言程序,声和光是新计时器的主题,我相信新计时器在的不断革新达到人们所需多功能设备的标准的时候,计时器会被投入到批量生产之中。CPLD芯片属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,CPLD芯片的开发应用已成为高科技和工程领域的一项重大课题。
1.2 设计依据
计时器是现代电子科技与音乐结合的产物,是一种新型的计时器器。它在现代体育赛事中扮演重要的角色,CPLD芯片具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用EPM7128SLC84-15为核心控制元件,设计一个计时器。以CPLD芯片作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有1个按键,和一个复位按键。
主要对使用CPLD芯片设计简易计时器进行了分析,并介绍了基于CPLD芯片计时器硬件的组成。利用芯片产生不同频率来获得我们要求的音阶,最终可随意计时。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。
2.1 系统基本设计思路
此设计是在数码管上显示数字的变换,峰鸣器报警的组合来进行计时。电路包括:键盘、芯片及LED显示电路,峰鸣器声音电路。
2.1.1 原理框图
闹钟模块蜂鸣器
闹钟模块
蜂鸣器
校正模块显示模块计时模块
校正模块
显示模块
计时模块
图2.1.1系统框图
(1)键盘计数。
(2)芯片通过输出各种电脉冲信号驱动控制各部分正常工作。
(3)CPLD芯片发送的信号分别经过LED显示电路通过译码最终在LED小灯和声音电路通过扬声器显示出来。
附录 1 主要源程序
l
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
--**************实体定义********************
Entity counter is
Port(clk: in std_logic;
led: buffer std_logic;
Sum: out std_logic_vector(6 downto 0);
key:in std_logic
);
End counter;
--**************构造体定义********************
Architecture a of counter is
Signal count: std_logic_vector(2 downto 0);
signal clock : std_logic;
signal clock1m:std_logic;
signal count1 : integer range 0 to 9:=0;
signal anjian : integer range 0 to 3;
Begin
process(clk)--分频进程
variable cnt1 : integer range 0 to 50000;
variable cnt2 : integer range 0 to 1000;
begin
if clkevent and clk=1 then
if cnt1=50000 then
cnt1:=0;
clock1m=not clock1m;
if cnt2=1000 then
cnt2:=0;
clock=not
您可能关注的文档
- 船舶辅机(旧) 甲板机械 甲板机械-3掌握液压甲板机械管理要点.ppt
- 物流信息技术 物流信息技术 NO7.doc
- 船舶管理 船舶应急的组织与准备 PPT7-1-1船舶应急组织(思政化).pptx
- 船舶管理 任务1国际防污染公约和法规 项目3_3.1防污染公约和法规.ppt
- 船舶焊接 实作-CO2气体保护焊陶质衬垫立对接焊 6.4CO2气体保护焊立焊实作.ppt
- 船舶焊接 药芯焊丝及陶质衬垫焊接 药芯焊丝及陶质衬垫焊接.ppt
- 船舶货物装卸案例 文本 液体散货船运输1.pptx
- 船舶建造工艺 T型梁的装焊 T型梁的装焊.ppt
- 船舶建造工艺 船台和船坞类型及其工艺装备 船台和船坞类型及其工艺装备.ppt
- 船舶建造工艺 船台装焊准备工作 船台装焊准备工作.ppt
- 现场网络化控制技术应用 现场网络化控制技术应用 按键设计.docx
- 现代节水灌溉技术 喷灌技术 34.docx
- 现代农业装备技术 谷物联合收割机的使用与维护 谷物联合收割机常见故障及排除方法.docx
- 现代农业装备技术 谷物条播机的使用与维护 例题.docx
- 现代农业装备技术 教学指南 谷物收割机械的使用与维护教案.docx
- 船舶结构与货运 船舶纵强度校核方法 JGHY-10-1-3船舶纵强度校核方法.ppt
- 船舶结构与货运 舷墙和栏杆 JGHY-2-3-2舷墙和栏杆.pptx
- 船舶气体保护焊工艺设计与实作 1.3船舶CO2焊气体保护焊设备 12典型CO2气体保护焊设备组成1-1.ppt
- 船舶气体保护焊工艺设计与实作 2.2TIG焊概述与焊接设备 53TIG焊的基本原理.ppt
最近下载
- 汽车底盘构造与维修教案.doc VIP
- 人教版七年级上册数学期末动点问题压轴题专题训练.docx VIP
- GB50173-2014《电气装置安装工程66KV及以下架空电力线路施工及验收规范》.docx VIP
- 1.1 空间向量及其运算(大单元教学设计) 高二数学(人教A版选择性必修第一册).docx
- 2024安徽职业技术学院招聘笔试真题含答案详解.docx VIP
- 山洋伺服电机rs2快速入门手册.pdf VIP
- 生活中的方向第1课时教室里的方向(课件)数学苏教版二年级上册(新教材).pptx VIP
- 宫殿记忆法:提升术.pptx VIP
- 【小学英语】3-6年级整个英语语法体系11页.pdf VIP
- 2025中级经济师《经济基础知识》三色笔记.pdf VIP
文档评论(0)