东南大学计算结构POC报告 .pdf

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Computer Organization and Architecture COURSE DESIGN NAME STUDENT NUMBER COURSE Computer Organization and Architecture Course Design PROJECT A Parallel Output Controller Basing On Verilog HDL SOFTWARE PLATFORM ISE 14.1 DATE MAR.17.2014 1. Purpose (1) To design and simulate a parallel output controller (POC) which acts an interface between system bus and printer. (2) The use of ISE software for design and simulation. 2. Tasks (1) POCis one of the most common I/O modules, namely the parallel output controller. It plays the role of an interface between the computer system bus and the peripheral (such as a printer or other output devices). Figure 1 Printer Connection Figure shows the connecting of a printer to the system bus through the POC. (2) The communication between POC and the printer is controlled by a “handshake ” protocol illustrated in Figure . Figure 2 The handshake -timing diagram between POC and the printer The handshaking process is described as follows: When the printer is ready to receive a character, it holds RDY=1.ThePOC must then hold a character at PD (parallel data) port and produce a pulse at the terminal TR (transfer request). The printer will change RDY to 0, take the character at PD and hold the RDY at 0 until the character has been printed (e.g. 5 or 10ms), then set RDY=1 again when it is ready to receive the next character. (Suppose the printer has only a one character “bu

文档评论(0)

181****6630 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档