三位密码锁实验报告.pdfVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字系统设计 实习(训)报告评语 等级: 评阅人: 职称: 年 月 日 河 南 工 程 学 院 实习(训)报告 实习目的(内容):电子密码锁 实习时间: 自 6 月 17 日至 6 月 28 日 共12天 实习地点:三号实验楼A307 实习单位: 指导老师: 翁嘉民 系主任: 目录 1. 引言 ……………………………………… 5 2. 设计思想…………………………………… 6 2.1系统原理框图 2.2总体实现原理 3. 芯片主控设计……………………………… 7 3.1系统设计方案 3.2FPGA有限状态机 3.3设计流程 3.4状态编码 3.5密码的输入 3.6密码记录与比较 3.7密码的显示 4. 引脚锁定…………………………………… 11 5. 程序仿真…………………………………… 13 6. 方框图……………………………………… 14 7. 心得体会…………………………………… 18 基于Verilog HDL的FPGA的电子密码锁的设计报告 摘要:基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优 点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于 单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一 种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在 EP3C10E144C8上实现。 通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在 一些细节的设计上还需要不断地完善和改进,特别是对系统的扩展有很好的使用系统和设计的价值。 一、引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行 算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为 组合逻辑电路和时序逻辑电路。 1 EDA简介 EDA (Electronics Design Automation)技术是随着集成电路和计算机技术的飞速发展应运而生的一种高 级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从70年代经历了计算 机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段 的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的 瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系 统级的设计工具。EDA关键技术之一就是采用硬件描述语言对硬件电路进行描述,且具有系统级仿真和 综合能力。目前应用比较广泛的硬件描述语言就是Verilog HDL。 2 Verilog HDL简介 Verilog HDL和VHDL一样,是目前大规模集成电路设计中最具代表性、使用最广泛的硬件描述语言之 一。Verilog HDL具有如下特点: (1)能够在不同的抽象层次上,如系统级、行为级、RTL级、门级和开关级,对设计系统进行精确而简 练的描述。 (2)能够在每个抽象层次的描述上对设计进行仿真验证,及时发现及时发现可能存在的错误,缩短设 计周期,并保存整个设计过程的正确性。 (3)由于代码描述与工艺过程实现无关,便于设计标准化,提高设计的可重用性。如国有C语言的编程 基础经验,只需很短的时间就能学会和掌握Verilog HDL ,因此,Verilog HDL可以作为学习HDL设计方 法的入门和基础。 本设计名称为密码锁,共有六个模块,分别为,按键去抖、输入密码、显示模块、比较模块、状态转换 模块、输出控制。 最终由总程序来实现所需功能。 设计所要实现的功能为: 1 手动用8个拨码开关设计三位密码(0-5)或开锁。 2 当输入密码开锁,当密码输入正确时,指示灯亮,表示开锁成功。 3 当密码输入错误时,灯亮(非同一个灯),表示开锁失败。 二、设计思想 2.1 系统原理框图 本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又可分为按 键处理部分,控制部分和译码显示部分。系统原理框图如图2.1所示: 2.2 总体实现原理 本系统有8个按键,K0,

文档评论(0)

166****9181 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档