eda自动售邮票机.pdfVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

※※※※※※※※※

※※

※※

※※

EDA课程设计报告书

课题名称自动出售邮票机电路的设计

姓名

学号

院系

专业

指导教师

一、设计任务及要求:

1任务:设计自动邮票销售机电路。

2要求:在有一元和五角两种硬币的情况下能让自动销售机根据得到的钱

数自动出售邮票,并且能自动找零。

指导教师签名:

年月日

二、指导教师评语:

指导教师签名:

年月日

三、成绩

验收盖章

年月日

1

自动出售邮票机电路的设计

1设计目的

(1)设计自动出售邮票机电路使机器能自动出售邮票。

(2)学会使用eda软件quartus设计电路。

(3)学会撰写课程设计总结报告,培养严肃认真的工作作风和严谨的科学态

度。

2设计的主要内容和要求

用vhdl语言设计一个自动售邮票机的逻辑电路。每次只允许投入一枚五角

或一元的硬币,累计投入一元五角硬币给出一张邮票,如果投入二元硬币,则给

出邮票的同时还应找回五角钱。

3整体设计方案

3.1大体设计思路:

(1)输入模块的设计:

①当投入的是五角的硬币时,输出的是‘0’信号。

②当投入的是一元硬币的时候,输出的是‘1信号。

(2)销售模块的设计:

①前两次输入的硬币总和超过一元五角时,则不需要考虑第三次输入了;如:如:

、、、、,,代表销售一枚邮票,还要找零五角。

②如果前两次只投入一元钱,即两枚五角硬币,则第三次输入的一定是五角

硬币,故第三次投入五角硬币就售出一枚邮票,不用找零。

2

3.2主要端口说明:

(1)输入识别模块端口:第一次输入端口为m0,第二次输入端口为m1,第

三次不加以识别,有硬币输入则售出一枚邮票。如即端口c为高电平,则输出,

反之则售出邮票。

(2)销售机输入端口(即识别模块的输出端口):输入端口m1的输出端口为y1,

输入端口m0的输出端口为y0,外加第三个输入端口c。

(3)销售机输出端口:

①s为高电平代表有邮票输出;

②cout为代表需要找零五角。

3.3整体设计方框图:

整体设计方框图如下:输入硬币信号m1,m0,c为输入信号,售票信号s

和找零信号cout为输出信号。

m0input

s

seller

cout

m1input1

文档评论(0)

182****4648 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档