- 1、本文档共7页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
0-9999计数器的设计
桂林航天工业学院学生实验报告
课程名称 EDA技术 实验项目名称 0-9999计数器的设计 开课院(系)及实验室 电子信息与自动化学院 实验日期 年 月 日 学生姓名 甘志荣 学号 2013090110212 专业班级 自动化2班 指导教师 庞前娟 实验成绩 一、实验目的
7段数码显示译码器设计。
4、学习VHDL的多层次设计方法。
二、实验
2、用VHDL语言编程实现电路功能;
3、下载到开发板验证;
三、实验结果
1、0-9999计数器的顶层设计图如下:
2、0-9999计数器的低层设计(VHDL语言代码)
分频器模块f100的VHDL语言代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity f100 is
generic(n1 :integer:;
port(clk:in std_logic;
f1:out std_logic);
end entity f100;
architecture getsec of f100 is
--signal secout1 : std_logic :=1;
signal cnt1: integer range 0 to n1-1:=0;
begin
process(clk) is
begin
if clkevent and clk=1 then
cnt1=cnt1+1;
if cnt1=n1/2 then
f1=0;
else
f1=1;
end if;
if cnt1=n1-1 then
cnt1=0;
end if;
end if;
end process;
end architecture getsec;
计数器模块cout的VHDL语言程序代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cout is
port(clk:in std_logic;
cn1,cn2,cn3,cn4:out std_logic_vector(3 downto 0));
end ;
architecture c10 of cout is
signal temp1,temp2,temp3,temp4:std_logic_vector(3 downto 0);
signal g,s,b:std_logic;
begin
p1:process(clk)
begin
if( clkevent and clk=1 )then
if temp11001then temp1=temp1+1;g=0;
else temp1=0000;g=1;
end if;
end if;
end process p1;
p2:process(g)
begin
if( gevent and g=1 )then
if temp21001then temp2=temp2+1;s=0;
else temp2=0000;s=1;
end if;
end if;
end process p2;
p3:process(s)
begin
if( sevent and s=1 )then
if temp31001then temp3=temp3+1;b=0;
else temp3=0000;b=1;
end if;
end if;
end process p3;
p4:process(b)
begin
if( bevent and b=1 )then
if temp41001then temp4=temp4+1;
else temp4=0000;
end if;
end if;
end process p4;
cn1=temp1;cn2=temp2;cn3=temp3;cn4=temp4;
end;
显示模块display的VHDL语言的程序代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.
您可能关注的文档
- (小学英语课堂多元化评价策略的研究)课题论文.doc
- (开题报告)乔家栅甜品店设计—蓬莱路店.doc
- (开题报告)体育用品网络销售的发展策略.doc
- (开题报告)毕业论文-企业并购动因分析——以中联重科公司为例.doc
- (本科毕业论文)我国环保法庭诉讼规则研究.doc
- (李春秋版)第二章道德的起源.ppt
- (监)洪辛区间左线盾构百环验收评估报告.pdf
- (电泳雪橇升降机)苏腾观致项目竣工文件(英文版).pdf
- (石大课件)采油工程方案设计.ppt
- (迭代法求解微分方程数值解)海南师范大学本科毕业生开题报告.doc
- 北师大版小学数学三年级上册《寄书》教学设计.docx
- 统编版(部编版)语文二年级上册《雪孩子》教学设计.docx
- 统编版(部编版)语文二年级上册《八角楼上》教学设计.docx
- 北师大版小学数学三年级上册《长方形周长》教学设计.docx
- 北师大版小学数学三年级上册《丰收了》教学设计.docx
- 统编版(部编版)语文二年级上册《夜宿山寺》教学设计.docx
- 统编版(部编版)语文二年级上册《风娃娃》教学设计.docx
- 统编版(部编版)语文二年级上册《朱德的扁担》教学设计.docx
- 统编版(部编版)语文二年级上册《难忘的泼水节》教学设计.docx
- 统编版(部编版)语文二年级上册《纸船和风筝》教学设计.docx
文档评论(0)