2016EDAVerilog03高级语法教程.ppt

  1. 1、本文档共70页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
* * * * * * * * 这与c语言不同 * * * * * * * * * * * * * * * * * * * * * * * * * * * * 3.7.4 测试代码 例: module inline_tb; reg [7:0] data_bus; initial fork data_bus= 8’b00; #10 data_bus = 8’h45; #20 repeat (10) #10 data_bus = data_bus +1; #25 repeat (5) # 20 data_bus = data_bus 1; #140 data_bua = 8’h0f; join endmodule * 3.7.4测试代码 上面模块的仿真输出如下: 时间 data_bus 0 8’b0000_0000 10 8’b0100_0101 30 8’b0100_0110 40 8’b0100_0111 45 8’b1000_1110 50 8’b1000_1111 60 8’b1001_0000 65 8’b0010_0000 70 8’b0010_0001 时间 data_bus 80 8’b0010_0010 85 8’b0100_0100 90 8’b0100_0101 100 8’b0100_0110 105 8’b1000_1100 110 8’b1000_1101 120 8’b1000_1110 125 8’b0001_1100 140 8’b0000_1111 * 3.7.4 测试代码 建立时钟 采用always过程块可以很容易实现时钟信号。下面是一个产生测试模块的时种信号的例子: always begin #10 clk=~clk; end 该例用来产生一个周期为20个时间单位的时钟信号。 * 3.7.4测试代码 用always过程块产生两个时钟信号 module test2; reg clk1,clk2; parameter CYCLE=100; always begin {clk1,clk2}=2’b10; #(CYCLE/4) {clk1,clk2}=2’b01; #(CYCLE/4) {clk1,clk2}=2’b11; #(CYCLE/4) {clk1,clk2}=2’b00; #(CYCLE/4) {clk1,clk2}=2’b10; end initial $monitor($time,,,“clk1=%b clk2=%b”,clk1,clk2); endmodule * 3.7.4测试代码 上例用ModelSim编译仿真后的波形如下 如果输入信号的值有规律的变化,例如按相同的延迟重复出现n次,那么就可以通过repeat循环语句来实现。 * * 预告 第四讲、简单数字电路设计 门级电路,组合逻辑电路, 时序逻辑电路… Verilog例子学习… * 联系方法 何锋 robinleo@buaa.edu.cn 联系方法 010新主楼 F710 202教研室——航空电子与总线通信实验室 Avionics and Bus Communications Laboratory(ABC Lab) * * * * * * Begin/end块中的语句是串行执行的,而fork/join块中的语句是并行执行的。 块可以有名字,begin: NAME * * * 并不是所有情况下q都有确定的数值(综合器可不知道不变) * 也不一定是错误,但一般情况下是疏忽了 * * * * 设计想法很好,但是是软件编程的思路,不是硬件的思路 * * * * * * * * * * * * 3.6.1 任务(task) 定义任务: task 任务名;

您可能关注的文档

文档评论(0)

精品课件 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档