计算机设计和实践-第7讲.ppt

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
* CPU包括运算器和控制器两大部分,其中控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果,是CPU设计的核心,运算器则用来完成基本的算术运算和逻辑运算。 在计算机组成原理课程中已经讨论过,CPU设计的基本思想有组合逻辑设计和微程序设计两种。组合逻辑设计根据微操作的节拍安排,列出微操作命令的操作时间表,然后写出每一个微操作命令(控制信号)的逻辑表达式,最后根据逻辑表达式画出相应的组合逻辑电路图。微程序设计思想是将一条机器指令编写成一个微程序,每一个微程序包含若干条微指令,每一条微指令对应一个或几个微操作命令,然后把这些微程序存到一个控制存储器中,用寻找用户程序机器指令的办法来寻找每个微程序中的微指令。微程序设计方法虽然简便,但需要占用较大的空间存放微程序。 在RISC计算机中一般都采用组合逻辑设计方法,这有利于进行流水线的设计,也能大大节省CPU的门数,对于降低CPU的功耗也有好处。这里介绍的设计方法是组合逻辑设计的一种,但设计中不直接使用逻辑表达式,而是根据信息流的思想进行了模块的划分,给出了CPU的基本结构框图。 根据机器周期的定义,系统划分为四个主要模块:取指管理模块掌管取指周期,运算管理模块掌管运算周期,存储管理模块掌管访存周期,回写管理模块掌管回写周期。在一个指令周期中,取指、运算、访存、回写四个机器周期依次执行,由时钟管理模块统一进行调度。 取指管理模块包含寄存器PC和IR,同时IR的内容将由该模块向其他模块提供;运算管理模块包含了运算器,也就包含了通用寄存器,同时也包括暂存器A、B、Imm、ALUoutput;存储管理模块包含暂存器LMD。 在多数指令的执行过程中,某些部件并不工作,似乎浪费了时间和空间,但如果考虑流水线的设计,有些冗余是必要的。在本节中的设计思想可以用于流水线的初步设计 * 在取指周期,存储器的访问是只读的,存储器的地址来自PC,数据去往IR。在访存周期,存储器的访问可能是读也可能是写,存储器只有一组,而取指周期和访存周期都可能对其进行访问,而且数据、地址的来源和去向均不同,为避免访问冲突、保证空闲时总线不被占用,应该对存储器的访问加以管理。 将处理器的结构进一步细化,在主存储器与各管理模块之间增加一个访存控制模块。它接收来自取指管理模块的PC地址,访存后将IR内容返回给取指管理模块;它接收来自运算管理模块的ALUoutput,为访存周期的控制作准备;它在数据访问读周期向存储管理模块传送数据总线的32位信息。 增加了回写管理模块与取指、运算两模块之间的通路就可以用以支持PC和通用寄存器的更新。 雷同检查 * 第三步综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。第四步适配完成后,产生多项设计结果:①适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;②适配后的仿真模型;③器件编程文件。 根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。 是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,寄存器等基本逻辑单元组成的逻辑连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,输出edf和edn等文件,供CPLD/FPGA厂家的布局布线器进行实现 是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,寄存器等基本逻辑单元组成的逻辑连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,输出edf和edn等文件,供CPLD/FPGA厂家的布局布线器进行实现 计算机设计与实践 第七讲 第三步: 处理器结构设计 * CPU 设计方法 CPU设计的基本思想有组合逻辑设计和微程序设计两种: 1.组合逻辑设计根据微操作的节拍安排,列出微操作命令的操作时间表,然后写出每一个微操作命令的逻辑表达式,最后根据逻辑表达式画出相应的组合逻辑电路图; 2.微程序设计思想是将一条机器指令编写成一个微程序,每一个微程序包含若干条微指令,每一条微指令对应一个或几个微操作命令,然后把这些微程序存到一个控制存储器中,用寻找用户程序机器指令的办法来寻找每个微程序中的微指令。微程序设计方法虽然简便,但需要占用较大的空间存放微程序。 CPU 设计方法 在RISC计算机中一般都采用组合逻辑设计方法,这有利于进行流水线的设计,也能大大节省CPU的门数,对于降低CPU的功耗也有好处; 这里介绍的设计方法是组合逻辑设计的一种,但设计中不直接使用逻辑表达式,而

文档评论(0)

189****6140 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档