【2017年整理】一种基于FPGA的曼彻斯特编译码电路设计.pdfVIP

【2017年整理】一种基于FPGA的曼彻斯特编译码电路设计.pdf

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
【2017年整理】一种基于FPGA的曼彻斯特编译码电路设计

第 30 卷  第 6 期 ( ) 三峡大学学报 自然科学版 Vol30 No . 6 2008 年 12 月 J of China Three Gor ges U niv . (Nat ural Sciences) Dec2008 一种基于 FP GA 的曼彻斯特编译码电路设计 程  希  罗志会  陈小刚 (三峡大学 理学院 , 湖北 宜昌 443002) 摘要 :运用 V HDL 硬件描述语言以及 Maxp lu s 软件平台 ,采用超前滞后型全数字锁相环提取位同 步时钟的方法 ,设计了一种基于全数字锁相环的曼彻斯特编译码电路 ,给出了详细的设计过程和 波形仿真 ,并在 GW48C K 实验平台上进行了下载验证. 关键词 :F P GA ;  V HDL ;  mBnB 码 ;  全数字锁相环 ( ) 中图分类号 : TN 929 . 11    文献标识码 :A    文章编号 :1672948X 2008 Manchester Encoding and Decoding Circuit Based on FP GA Cheng Xi  L uo Zhihui  Chen Xiao gang ( College of Science , China Three Gor ge s U niv . , Yichang 443002 , China) Abstract  App lying t he har dware de scrip tion language V HDL and t he soft ware p latfor m Maxp lu s , a Man che st er co ding and deco ding circuit i s de signed ba sed on LLAD PLL w hich refine s bit synchronized clock sig nal . The de sign p roce ss an d wavefor m simulatio n are given ; and t hey are t e stified by dow nloadin g in GW48 C K exp eriment al p latfor m . Key words  F P GA ;  V HDL language ;  mBnB co de ;  LLAD PLL ( )   在光纤传输系统中 ,从电端机输出的数字信号是 在曼彻斯特编码中 ,每个二进制位 码元 的中间 适合于电缆传输的双极性码. 而光端机中 ,光源不能 都有电压跳变. 用电压的正跳变表示“0 ”, 电压的负跳 发射负的光脉冲 ,只能采用 ‘0 ’和 ‘1 ’二电平码. 但简 变表示“1”. 由于跳变都发生在每一个码元的中间位 单的二电平码的直流基线会随着信息流中 0 和 1 的 ( ) 置 半个周期 ,接收端就可以方

您可能关注的文档

文档评论(0)

hhuiws1482 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:5024214302000003

1亿VIP精品文档

相关文档