《微电子学课程设计(论文)-利用拨码开关控制米字管进行字母显示》-毕业论文.docVIP

《微电子学课程设计(论文)-利用拨码开关控制米字管进行字母显示》-毕业论文.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PAGE 7 - 中北大学 课 程 设 计 说 明 书 ? ? ? 学 号: 0706024140 学 院: ?电子与计算机科学技术学院 专 业: 微电子学专业? 题 目: 利用拨码开关控制米字管进行字母显示 ? ? ? 指导教师: 职称: 讲师 ? ? ? 2010年 6月 25日 目 录 1.课程设计目的……………………………………………………………………2 2.课程设计内容及要求……………………………………………………………2 2.1设计内容………………………………………………………………………2 2.2设计要求………………………………………………………………………2 3.设计方案及实现情况……………………………………………………………2 3.1设计思路………………………………………………………………………2 3.2工作原理及框图………………………………………………………………2 3.3各模块功能描述………………………………………………………………3 3.4仿真结果………………………………………………………………………4 3.5试验箱验证情况………………………………………………………………5 4.课程设计总结……………………………………………………………………7 5.参考文献…………………………………………………………………………7 1、课程设计目的 (1).学习操作数字电路设计实验开发系统,掌握米字管的工作原理及应用。 (2).掌握组合逻辑电路、时序逻辑电路的设计方法。 (3).学习掌握可编程器件设计的全过程。 2、课程设计内容和要求: 2.1、设计内容 用VHDL语言编写程序,利用拨码开关控制米字管进行字母显示。 2.2、设计要求 (1).学习掌握拨码开关控制模块、米字管的工作原理及应用; (2). 熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑; (3). 仿真所编写的程序,模拟验证所编写的模块功能; (4). 下载程序到芯片中,硬件验证所设置的功能,能够实现字母显示; (5). 整理设计内容,编写设计说明书。? 3、?设计方案及实现情况 3.1、设计思路 这个实验是用米字管显示26个英文字母,通过五个拨码开关不同的高低状态表示26种不同的字母显示。 3.2、工作原理及框图 米字管显示字母的原理是将米字管分成16个状态,通过不同的管脚的状态显示其16个不同的模块,再用拨码开关显示其不同模块的亮暗程度,从而达到显示不同字母的功能。当复位信号为高时,二进制显示输出为:1111111111111111。当复位信号为低,处于上升沿状态是,二进制分别显示,显示A—Z的二进制代码分别为: 0011000000011010、0111100000101001、1100111100000000、0000001101000010、1100111110001000、1100001110001000、1101111100001000、0011001110001000、1100110000100001、1100010000100001、0000000000110101、0000111100000000、0001001010001001、0001101010000001、1111111100000000、1000001110100000、1111111100000100、0110000000101101、0101100000101000、1100000000100001、0011111100000000、0000000001010000、0010000110101000、0000000001010110、0000000001010001、1100110000010010。当拨码开关显示超过11001时,二进制显示输出为0000000000000000。 3.3、各模块功能描述 米字管显示字母用不同的管脚分别控制,由高到低分别为A1、A2、B、C、D1、D2、E、F、G、H、J、K、M、N、O、P。通过以上管脚分别控制米字管分成的不同的模块的亮暗,先从最上方横线开始,依次控制。以下是实现功能的VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity mzg is port( clk: in std_logic; reset:in s

文档评论(0)

咪蒙 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档